xz: Fix assertion related to posix_fadvise().

Input file can be a FIFO or something else that doesn't
support posix_fadvise() so don't check the return value
even with an assertion. Nothing bad happens if the call
to posix_fadvise() fails.
This commit is contained in:
Lasse Collin 2013-06-28 14:55:37 +03:00
parent 84d2da6c9d
commit b790b435da
1 changed files with 2 additions and 8 deletions

View File

@ -511,14 +511,8 @@ io_open_src_real(file_pair *pair)
#endif #endif
#ifdef HAVE_POSIX_FADVISE #ifdef HAVE_POSIX_FADVISE
const int fadvise_ret = posix_fadvise( // It will fail with some special files like FIFOs but that is fine.
pair->src_fd, 0, 0, POSIX_FADV_SEQUENTIAL); (void)posix_fadvise(pair->src_fd, 0, 0, POSIX_FADV_SEQUENTIAL);
// It shouldn't fail, but if it does anyway, it doesn't matter.
// Check it with an assertion so that if something gets messed
// up in the future, it will get caught when debugging is enabled.
assert(fadvise_ret == 0);
(void)fadvise_ret;
#endif #endif
return false; return false;